[MSP-EXP432P401R] MSP432P401R SSD1306 OLED显示

  [复制链接]

4

主题

13

帖子

685

积分

单晶硅锭

Rank: 3Rank: 3

积分
685
楼主
查看: 10505回复: 10 发表于 2021-4-19 18:17:34   只看该作者
第2题:基本的显示(包括但不限于各种显示屏的驱动)
1.完整硬件的照片、显示效果图片
2.引脚连接图等电路图(如板卡和显示板的连接示意图)
3.驱动芯片的手册资料等信息
4.要求循环显示以下内容(如屏幕不支持,可跳过部分):
——a.显示整数 2021
——b.显示小数 8.4
——c.显示字符 NUEDC Contest
——d.显示汉字 全国大学生电子设计竞赛培训网
——e.显示图片 电赛的徽章LOGO
——f.根据屏幕显示特色信息(改成和电赛相关的)
********************************************************************************
1.硬件及显示效果
MSP432P401R LAUNCHPAD+SSD1306 0.96寸OLED显示屏
硬件连接:



显示效果:
OLED上循环显示如下内容,每项内容显示5s。
“ 2021  8.4   NUEDC Contest   全国大学生电子设计竞赛培训网”
全国大学生电子设计竞赛徽章
“ 欢迎大家报名参加电赛!”









2.硬件引脚连接
GND  接电源地
VCC  接5V或3.3v电源
SCL  接P40
SDA  接P32
RES  接P61
DC   接P60
CS   接P15



3.显示屏资料
OLED 模块(SPI和IIC) 使用说明.pdf (584.29 KB, 下载次数: 170)
V6.0_S13-IIC.zip (7.77 MB, 下载次数: 403)
V6.0-S13-SPI_first.zip (4.65 MB, 下载次数: 330)


4.主程序代码
#include"oled.h"
#include"bmp.h"

int main(void)
{

    init();                         //初始化
    OLED_Init();

//    MAP_WDT_A_holdTimer();

    while(1)
    {
        OLED_Clear();
        delay_ms(5);
        OLED_ShowString(0,0,"  2021  8.4");
        OLED_ShowString(0,2," NUEDC Contest ");
        OLED_ShowCHinese(0,4,0);      //全
        OLED_ShowCHinese(18,4,1);     //国
        OLED_ShowCHinese(36,4,2);     //大
        OLED_ShowCHinese(54,4,3);     //学
        OLED_ShowCHinese(72,4,4);     //生
        OLED_ShowCHinese(90,4,5);     //电
        OLED_ShowCHinese(108,4,6);    //子
        OLED_ShowCHinese(0,6,7);      //设
        OLED_ShowCHinese(18,6,8);     //计
        OLED_ShowCHinese(36,6,9);     //竞
        OLED_ShowCHinese(54,6,10);    //赛
        OLED_ShowCHinese(72,6,11);    //培
        OLED_ShowCHinese(90,6,12);    //训
        OLED_ShowCHinese(108,6,13);   //网
        delay_ms(500);

        OLED_Clear();
        delay_ms(5);

        OLED_DrawBMP(0,0,128,8,BMP1);  //图片显示
        delay_ms(500);

        OLED_Clear();
        delay_ms(5);

        OLED_ShowCHinese(0,4,14);      //欢
        OLED_ShowCHinese(18,4,15);     //迎
        OLED_ShowCHinese(36,4,16);     //大
        OLED_ShowCHinese(54,4,17);     //家
        OLED_ShowCHinese(72,4,18);     //报
        OLED_ShowCHinese(90,4,19);     //名
        OLED_ShowCHinese(0,6,20);      //参
        OLED_ShowCHinese(18,6,21);     //加
        OLED_ShowCHinese(36,6,22);     //电
        OLED_ShowCHinese(54,6,23);     //赛
        OLED_ShowCHinese(72,6,24);     //!
        delay_ms(500);
    }
}
5.工程文件
TI_oled_display.zip (189.13 KB, 下载次数: 300)


打赏

参与人数 14赫兹币 +62 收起 理由
小女孩和小火菜 + 1 很给力!
wjy233 + 1 赞一个!
blueblues + 5
RHAnthony + 5
JTao + 5
shenweizuibang + 5
黄福均 + 5
hw123456 + 5
csj0710 + 5
zombiejbt + 5
lithiumice + 5
mei187 + 5
fu188 + 5
hu158 + 5

查看全部打赏

73

主题

469

帖子

1005

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
1005
沙发
发表于 2021-4-27 14:09:51   只看该作者
感谢分享~

0

主题

1

帖子

1127

积分

单晶硅锭

Rank: 3Rank: 3

积分
1127
板凳
发表于 2021-7-23 12:47:54   只看该作者
为什么不关看门狗,有用到吗

0

主题

4

帖子

195

积分

二氧化硅

Rank: 2

积分
195
4#
发表于 2021-7-28 12:07:41   只看该作者
感谢分享

0

主题

4

帖子

195

积分

二氧化硅

Rank: 2

积分
195
5#
发表于 2021-7-28 12:40:23   只看该作者
为什么添加进CCS后编译出现cannot open source file "ti/devices/msp432p4xx/driverlib/driverlib.h"

0

主题

1

帖子

1

积分

一粒轻沙

Rank: 1

积分
1
6#
发表于 2021-8-27 10:47:49   只看该作者
BK_Snowball 发表于 2021-7-28 12:40
为什么添加进CCS后编译出现cannot open source file "ti/devices/msp432p4xx/driverlib/driverlib.h" ...

你需要导入CCS的库函数

12

主题

49

帖子

1284

积分

单晶硅锭

Rank: 3Rank: 3

积分
1284
7#
发表于 2021-8-28 14:04:53   只看该作者
BK_Snowball 发表于 2021-7-28 12:40
为什么添加进CCS后编译出现cannot open source file "ti/devices/msp432p4xx/driverlib/driverlib.h" ...

可以看看这个帖子,就改头文件查找路径的问题。
复制别人工程改头文件路径说明
https://bbs.nuedc-training.com.c ... 7&fromuid=76356
(出处: 全国大学生电子设计竞赛培训网)

打赏

参与人数 7赫兹币 +35 收起 理由
bly + 5
blueblues + 5
RHAnthony + 5
hw123456 + 5
csj0710 + 5
zombiejbt + 5
JTao + 5

查看全部打赏

12

主题

49

帖子

1284

积分

单晶硅锭

Rank: 3Rank: 3

积分
1284
8#
发表于 2021-8-28 14:08:01   只看该作者
FantasyQ 发表于 2021-7-23 12:47
为什么不关看门狗,有用到吗

关不关闭看门狗,好像没影响

打赏

参与人数 11赫兹币 +55 收起 理由
csj0710 + 5
zombiejbt + 5
fu188 + 5
hu158 + 5
mei187 + 5
hw123456 + 5
RHAnthony + 5
blueblues + 5
bly + 5
JTao + 5
shenweizuibang + 5

查看全部打赏

1

主题

12

帖子

1

积分

一粒轻沙

Rank: 1

积分
1
9#
发表于 2021-10-30 19:54:23   只看该作者
四旋翼飞行器入门-

0

主题

2

帖子

61

积分

一粒轻沙

Rank: 1

积分
61
10#
发表于 2022-3-25 17:40:35   只看该作者

感谢楼主分享~

0

主题

1

帖子

64

积分

一粒轻沙

Rank: 1

积分
64
11#
发表于 2022-11-7 19:05:09   只看该作者
感谢分享
快速回复 返回顶部 返回列表